用錢堆出來的FinFET工藝

作者: 智通編選 2020-02-27 09:50:39
目前,全球FinFET(立體)工藝已邁入5納米制程,F(xiàn)D-SOI(平面)工藝也邁進了12納米進程。

本文來自芯思想,作者趙元闖。

目前,全球FinFET(立體)工藝已邁入5納米制程,F(xiàn)D-SOI(平面)工藝也邁進了12納米進程。但英特爾(INTC.US)、臺積電(TSM.US)、三星都在準備3納米甚至2納米工藝。據(jù)悉針對下一個節(jié)點3納米,正在開發(fā)一種全新設計的晶體管(GAA-FET,gate-all-around Field-Effect Transistor),和目前使用的FinFET又不一樣。 但不管是先前的MOSFET、當下的FinFET還是未來的GAA,雖然形狀和材料發(fā)生了變化,但其本征沒有變,說到底都是場效應晶體管(FET,F(xiàn)ield-Effect Transistor)。場效應晶體管自1959年發(fā)明以來,包含同樣的基本結(jié)構(gòu):柵極(Gate)、源極(Source)、漏極(Drain)。

今天我們就來談談FinFET。

FinFET工藝的概念

FinFET稱為鰭式場效應晶體管(Fin Field-Effect Transistor),是由美籍華人科學家胡正明(Chenming Hu )教授在1999年提出來的。其中的Fin在構(gòu)造上與魚鰭非常相似,所以稱為“鰭式”,F(xiàn)ET的全名是“場效應晶體管”。當時胡正明教授在加州大學領(lǐng)導一個由美國國防部高級研究計劃局(DARPA)出資贊助的研究小組,當時他們的研究目標是CMOS技術(shù)如何拓展到25nm領(lǐng)域。當時的研究結(jié)果顯示有兩種途徑可以實現(xiàn)這種目的:一是立體型結(jié)構(gòu)的FinFET,另外一種是基于SOI的超薄絕緣層上硅體技術(shù)(UTB-SOI,也就是現(xiàn)在常說的FD-SOI技術(shù))。 FinFET是一種新的互補式金屬氧半導體(CMOS)晶體管,源自于傳統(tǒng)標準的“場效應晶體管”的一項創(chuàng)新設計。 傳統(tǒng)MOSFET結(jié)構(gòu)是平面的,只能在柵門的一側(cè)控制電路的接通與斷開。但是在FinFET架構(gòu)中,柵門(Gate)被設計成類似魚鰭的叉狀3D架構(gòu),可于電路的兩側(cè)控制電路的接通與斷開。這種叉狀3D架構(gòu)不僅能改善電路控制和減少漏電流(leakage),同時讓晶體管的柵長大幅度縮減。目前,英特爾的14納米工藝中晶體管的柵長已經(jīng)縮短至20納米,三星的5納米工藝中已經(jīng)縮短至10納米,未來還有可能縮短至7納米,約是人類頭發(fā)寬度的萬分之一。

FinFET工藝的進展

當時(約在2000年),胡正明研究小組認為要讓UTB-SOI正常工作,絕緣層上硅膜的厚度應限制在柵長的四分之一左右。對25nm柵長的晶體管而言,胡正明教授團隊認為UTB-SOI的硅膜厚度應被控制在5nm左右。限于當時的技術(shù)水平,由于產(chǎn)業(yè)界認為要想制造出UTB-SOI上如此薄的硅膜實在太困難了,于是產(chǎn)業(yè)界開足馬力研發(fā)FinFET技術(shù)。 最早使用FinFET工藝的是英特爾,他們在2011年推出的第三代酷睿處理器就開始使用22納米(nm)FinFET工藝,隨后全球各大半導體廠商積極跟進,陸續(xù)轉(zhuǎn)進到FinFET工藝中。接下來的工藝節(jié)點五分八門,恨不得把10以內(nèi)的數(shù)字都用上,英特爾的節(jié)點包括22納米、14納米、10納米、7納米,IBM或IBM聯(lián)盟的22納米、14納米、10納米、7納米,臺積電有16納米、12納米、10納米、7納米、6納米、5納米,三星14納米、11納米、10納米、8納米、7納米、5納米、4納米,中芯國際(00981)14/12/N+1納米,格羅方德的14納米、12納米、7納米、聯(lián)電(UMC.US)的14納米。


有關(guān)各家工藝的更多參數(shù)對比可聯(lián)系芯思想。

FinFET工藝七大玩家

根據(jù)芯思想研究院提供的資料,全球目前有七家公司已經(jīng)開始量產(chǎn)或即將量產(chǎn)FinFET工藝,按照轉(zhuǎn)入的時間順序,分別是英特爾、臺積電、三星、格芯、聯(lián)電、中芯國際、華虹半導體(01347)、當然還有IBM(IBM.US),但是IBM已經(jīng)將半導體部門出售給格芯了。我們來了解一下七大玩家的情況吧!

英特爾(Intel)

1968年7月18日,因為不滿仙童半導體(Fairchild Semiconductor)的現(xiàn)狀,羅伯特·諾伊斯(Robert Noyce)和戈登·摩爾(Gordon Moore)選擇了離職,并創(chuàng)辦諾伊斯-摩爾電子公司(NM Electronic),隨后公司支付了15000美元從Intelco公司買下“Intel”名字的使用權(quán),并更名為英特爾公司。50多年來,英特爾寫下了無數(shù)輝煌。

從1971年,采用10微米(μm)制程工藝生產(chǎn)出全球首個微處理器4004,一直到2014年第三代酷睿處理器實現(xiàn)14納米FinFET工藝量產(chǎn),英特爾的半導體制程工藝發(fā)展之路可謂領(lǐng)業(yè)界風騷。作為一家IDM大廠,其主要是生產(chǎn)制造CPU,當然也順帶生產(chǎn)些高品質(zhì)的FPGA和NAND存儲芯片。 在工藝制程方面,英特爾一向以滿足最嚴苛的摩爾定律而聞名,在大幅縮小晶體管體積的同時,還導入全新材料,希望在產(chǎn)品性能提升方面一舉超前對手,結(jié)果反而在商業(yè)化制程推進中落后于臺積電和三星。確實,英特爾在工藝研發(fā)過程中,一直以世界首創(chuàng)的方式改進制造技術(shù),包括銅互連技術(shù)、High-K技術(shù)(2007年)、FinFET技術(shù)、應變硅技術(shù),現(xiàn)在又在試驗鈷材料。 英特爾在美國(亞利桑那州、俄勒岡州、新墨西哥州)、愛爾蘭、中國、以色列設有多座12英寸晶圓制造廠。除了新墨西哥州負責成熟工藝32納米和45納米生產(chǎn),中國大連FAB68負責存儲器芯片生產(chǎn)外,其他工廠都已經(jīng)全數(shù)轉(zhuǎn)進FinFET工藝。

目前,22納米FinFET工藝在亞利桑那州的FAB32/FAB12、俄勒岡州D1C/D1D和以色列FAB28生產(chǎn),14納米FinFET工藝先后在俄勒岡州D1X(2014年)、亞利桑那州的FAB42(2015年由FAB32生產(chǎn))、和愛爾蘭的FAB24(2015年)進行生產(chǎn);而最先進的10納米FinFET工藝則交由俄勒岡州D1X、以色列FAB28負責制造,每個工藝節(jié)點都有兩個以上的工廠通過驗證,可以確保產(chǎn)品的順利交貨。 英特爾2011年自2011年開始量產(chǎn)第一代22納米FinFET工藝,首個產(chǎn)品是代號Ivy Bridge的處理器;2014年量產(chǎn)第二代14納米FinFET工藝,首個產(chǎn)品是代號Broadwell的處理器。 英特爾在2013年的工藝技術(shù)規(guī)劃中,表示2016年將推出10納米??捎捎诟鞣N原因,10納米的研發(fā)不用預期。于是14納米工藝在2014年推出后被不斷改進,2016年量產(chǎn)14+,2017年量產(chǎn)14++,以彌補10納米延遲的缺憾。

image.png

其實在2013年,英特爾就設想通過提供2.7倍密度的自對準四軸圖形(SAQP,self-aligned double patterning)、有源柵極上接觸(COAG,Contact Over Active Gate)、金屬鈷(Co)互連以及第一代Foveros和第二代EMIB等新封裝技術(shù),計劃在2016年推出10nm工藝取代14nm工藝。據(jù)悉,英特爾為了提高芯片性能,在10納米工藝開始引入金屬鈷在MO和M1取代氮化鉭(TaN)做側(cè)壁層,導致工藝研發(fā)進展緩慢。

2017年英特爾宣布了公司第三代10納米FinFET工藝,使用的超微縮技術(shù)(hyper scaling),充分運用了多圖案成形設計(multi-patterning schemes),晶體管柵極間距由14納米工藝的70納米減少至10納米工藝的54納米,最小金屬間距由52納米縮小到36納米,據(jù)稱10納米工藝芯片邏輯晶體管密度是14納米工藝的2.7倍,達到每平方毫米超過1億個晶體管,但一直到2019年5月,才正式公布代號Ice Lake的處理器。

image.png

2019年英特爾在投資者會議(Investor Meeting)上展示了技術(shù)創(chuàng)新路線(Relentless Innovation Continues),為10納米規(guī)劃了10+和10++;并表示2021年才會推出7納米,也明確表示采用EUV方案。7nm工藝相比10nm工藝晶體管密度翻倍,每瓦性能提升20%,設計復雜度降低了4倍。 如此看來,英特爾的先進工藝在性能提升真的遇到瓶頸了。盡管很多人都認為英特爾的14納米相當于臺積電和三星的10納米,英特爾的10納米可能相當于臺積電和三星的7納米。 可此時,臺積電和三星已經(jīng)表示推進到了5納米時代。 

臺積電(TSMC)

1987年2月21日,臺積電正式成立,在張忠謀的帶領(lǐng)下開創(chuàng)了全球純屬晶圓代工的新模式,專注為全球Fabless、IDM、和系統(tǒng)公司提供晶圓制造服務,臺積電持續(xù)為客戶提供最行老師的技術(shù)和TSMC COMPATIBLE? 設計服務。

在晶圓代工領(lǐng)域,無論是制程技術(shù)覆蓋范圍、先進制程領(lǐng)導力,還是營收水平等,臺積電都是行業(yè)老大,目前的市占率已經(jīng)接近60%。而在制程技術(shù)種類方面,在2018年,臺積電就以261種制程技術(shù),為481個客戶生產(chǎn)了10436種芯片。  

臺積電自1987年透過轉(zhuǎn)讓臺灣工業(yè)技術(shù)研究院的2微米和3.5微米技術(shù)創(chuàng)立公司,一直秉持“內(nèi)部研發(fā)”戰(zhàn)略,并在當年為飛利浦定制了3.0微米技術(shù);1988年,剛剛一歲的臺積電就自研了1.5微米工藝技術(shù);1999年發(fā)布了世界上第一個0.18微米低功耗工藝技術(shù);2003年推出了當時業(yè)界領(lǐng)先的0.13微米低介質(zhì)銅導線邏輯制程技術(shù);2004年全球首家采用浸沒式光刻工藝生產(chǎn)90納米芯片;2006年量產(chǎn)65納米工藝技術(shù);2008年量產(chǎn)40納米工藝技術(shù);2011年全球首家推出28納米通用工藝技術(shù);2014年全球首家量產(chǎn)20納米工藝技術(shù)。  

臺積電在開始20納米制程研發(fā)時,就瞄準布局FinFET,2012年完成16納米制程的定義,迅速且順利地完成測試芯片的產(chǎn)品設計定案,并在以FinFET架構(gòu)為基礎(chǔ)的靜態(tài)隨機存取存儲器單位元(SRAM Bit Cell)上展現(xiàn)功能性良率;并在2014年開始風險生產(chǎn)16FF+工藝,2015年就順利量產(chǎn);2016年采用多重爆光的10納米工藝也迅速進入量產(chǎn),量產(chǎn)速度較之前的制程更快。  

臺積電的7納米是10納米的縮小版(shrink),后部金屬工藝技術(shù)基本兼容,整體密度和性能改進不多。采用DUV加浸沒式加多重曝光方案的7納米于2017年4月開始風險生產(chǎn),,2018年第三季開始貢獻營收,在2018年有40多個客戶產(chǎn)品流片,2019年有100多個新產(chǎn)品流片。與10nm FinFET工藝相比,7nm FinFET具有1.6倍邏輯密度,約20%的速度提升和約40%的功耗降低。

有兩個工藝制程可選,一是針對AP(N7P),二是針對HPC(N7HP)。聯(lián)發(fā)科天璣1000、蘋果(AAPL.US)A13和高通(QCOM.US)驍龍865都是采用N7P工藝。 臺積電第一個使用EUV方案的工藝是N7+。N7+于2018年8月進入風險生產(chǎn)階段,2019年第三季開始量產(chǎn),N7+的邏輯密度比N7提高15%至20%,同時降低功耗。

7納米之后是6納米(N6)。2019年4月份推出的6納米是7納米的(shrink),設計規(guī)則與N7完全兼容,使其全面的設計生態(tài)系統(tǒng)得以重復使用,且加速客戶產(chǎn)品上市時間,但N6的邏輯密度比N7高出18%的。N6將在2020年第一季風險試產(chǎn),第三季實現(xiàn)量產(chǎn)。 接下來是5納米(N5)。5納米于2019年3月進入風險生產(chǎn)階段,預期2020年第二季拉高產(chǎn)能并進入量產(chǎn)。主力生產(chǎn)工廠是Fab 18。

與7納米制程相較,但5納米從前到后都是全新的節(jié)點,邏輯密度是之前7納米的1.8倍,SRAM密度是7納米的1.35倍,可以帶來15%的性能提升,以及30%的功耗降低。5納米的另一個工藝是N5P,預計2020年第一季開始試產(chǎn),2021年進入量產(chǎn)。與5nm制程相較在同一功耗下可再提升7%運算效能,或在同一運算效能下可再降低15%功耗。 

至于3和2納米,臺積電表示已經(jīng)在研發(fā)中,雖然制程細節(jié)2020年4月將見分曉。但3納米和2納米的工藝建設計劃已經(jīng)公布。3納米產(chǎn)線將于2020年動工,在新竹寶山興,建預計投資超過新臺幣6000億元興建3納米寶山廠,最快2022年底量產(chǎn)。 

 三星代工(Samsung Foundry)

三星電子成立于1969年,1974年通過收購韓泰半導體(Hankook Semiconductor)50%的股份,成立半導體事業(yè)部,開始進軍半導體產(chǎn)業(yè);1979 年收購全資擁有韓泰半導體,并更名三星半導體;1983年正式進軍存儲器行業(yè),開發(fā)出韓國第首個64K DRAM;1988年半導體業(yè)務和電子及無線通訊業(yè)務合并成立三星電子;2005年開始晶圓代工業(yè)務;2017年5月12日,三星電子宣布調(diào)整公司業(yè)務部門,將晶圓代工業(yè)務部門從系統(tǒng)LSI業(yè)務部門中獨立出來,成立三星電子晶圓代工。 

三星進入晶圓代工領(lǐng)域,初始一直瞄準先進工藝,2006年首個客戶簽約65納米;2009年45納米工藝開始接單,同年11月在半導體研究所成立邏輯工藝開發(fā)團隊,以強化晶圓代工業(yè)務;2010年1月首個推出32納米HKMG工藝。 在推出32納米工藝后,三星跳過28/22納米,直接跨入到14納米工藝,要和晶圓代工老大臺積電展開面對面的肉搏。 

2014年推出第一代14納米FinFET工藝,稱作14LPE(Low Power Early,低功耗早期),并于2015年成功量產(chǎn);2016年1月推出第二代14納米FinFET工藝,稱作14LPP(Low Power Plus,低功耗增強),功耗降低15;2016年5月推出第三代14納米FinFET工藝并量產(chǎn),稱作14LPC;2016年11月推出第四代14納米FinFET工藝,稱為14LPU(Low Power Ultimate,低功耗終極)。并在14納米的基礎(chǔ)上,推出微縮版11LPP。 2016年10月17日,第一代10納米FinFET工藝量產(chǎn),稱為10LPE,新工藝性能可以提供27%,功耗將降低40%;2017年11月,開始批量生產(chǎn)第二代10納米FinFET工藝,稱為10LPP,性能提高10%,功耗降低15%;2018年6月,推出了第三代10納米FinFET工藝,稱為10LPU,性能再次得以提升,三星電子采用10納米的三重圖案光刻技術(shù)(LELELE)。

 三星10納米以下第一個節(jié)點本來是7納米,但是由于7納米量產(chǎn)受阻,轉(zhuǎn)而在2018年11月率先推出8納米,8納米制程的8LPP是10LPP的升級終極版,相比10LPP提升10%效率,減小10%面積。 三星將在7納米工藝及以下工藝全面使用EUV方案。

7LPE已經(jīng)在2019年4月已經(jīng)完成驗證,2020年2月20日,三星宣布首條EUV方案專用生產(chǎn)線V1投產(chǎn),表示三星7LPP已經(jīng)準備好。但是試產(chǎn)和量產(chǎn)是兩個不同的過程,如何保證量率和技術(shù)迭代,對三星是個挑戰(zhàn),千萬不要再犯當年14納米的錯。高通首款5G SoC 單芯片驍龍765 / 765G就是采用7LPP工藝,不過7LPP好像較計劃有所推遲。 三星的5納米(5LPE)是7納米(7LPP)工藝縮減(shrink)下來的,后部金屬工藝技術(shù)基本兼容,尺寸變化不超過10%(等比例縮小是變化30%),密度提高1.3倍,功耗降低20%或?qū)⑿阅芴岣?0%。

2020年2月,高通發(fā)布的驍龍X60基帶芯片就是采用5LPE工藝。 5納米之后,就是4納米。三星表示這是最后一次應用FinFET技術(shù),延續(xù)5LPE工藝的成熟技術(shù),方便客戶升級,4納米芯片面積更小,性能更高,可以快速達到高良率量產(chǎn)。同時,三星還計劃在2020年推出6LPE和4LPE工藝。 4納米之后就是3納米。三星表示,3納米將引入設計的晶體管(GAA-FET)。

3納米制程分3GAE、3GAP兩個時代。首發(fā)3GAE是第一代GAA技術(shù),根據(jù)官方說法,因是全新GAA晶體管結(jié)構(gòu),三星使用納米設備制造出MBCFET(Multi-Bridge-Channel FET),可顯著增加晶體管性能,以取代FinFET晶體管技術(shù)。此外,MBCFET技術(shù)還能兼容現(xiàn)有FinFET制程技術(shù)及設備,加速制程開發(fā)及生產(chǎn)。2019年三星曾表示,與7納米制程相比,3納米制程可將核心面積減少45%,功耗降低50%,整體性能提升35%,預計最快2021年量產(chǎn)。 

 格芯半導體(Global Foundries)

2018年8月27日(美國西部時間),格芯半導體宣布,為支持公司戰(zhàn)略調(diào)整,將無限期擱置7納米FinFET項目,并調(diào)整相應研發(fā)團隊來支持強化的產(chǎn)品組合方案。由于戰(zhàn)略轉(zhuǎn)變,格芯半導體將削減5%的人員,其他技術(shù)人員將被部署到14/12納米FinFET衍生產(chǎn)品和其他差異化產(chǎn)品的工作上。 格芯半導體是由原超微半導體(AMD)的制造業(yè)務部門在2009年3月分拆成立,2010年收購了新加坡特許半導體(Chartered),2015年7月完成收購IBM的半導體業(yè)務部門,可以說,格芯半導體繼承了AMD、特許半導體(Chartered)和IBM的半導體基因,絕對是半導體界的豪門貴族。 

 格芯半導體的FinFET工藝原本師承IBM,2012年宣布推出自研的14XM(eXtreme Mobility)技術(shù),進軍移動通訊市場,計劃在2014年量產(chǎn);由于技術(shù)指標問題,格芯放棄14XM,2014年轉(zhuǎn)而從三星獲得14納米授權(quán),在2015年第一季度14納米初級版14LPE成功通過了批量生產(chǎn);三季度性能增強版本(14LPP)獲得認證,2016年量產(chǎn);2017年推出為IBM Z服務器用處理器芯片定制的14HP技術(shù),這是業(yè)界唯一將三維FinFET晶體管架構(gòu)結(jié)合在SOI襯底上的技術(shù)。  

由于AMD(AMD.US)轉(zhuǎn)投臺積電,導致格芯半導體宣布無限期擱置7納米工藝研發(fā),事實上,在收購IBM半導體部門,格芯獲得了更多的半導體專利及優(yōu)秀研發(fā)人才,充實了自己的研發(fā)實力,并成功研發(fā)7納米工藝。根據(jù)GF公布的數(shù)據(jù),7納米工藝相比14納米工藝可以在同樣的功耗下提升40%以上的性能,或者同樣的性能下減少60%的功耗,同時在核心成本上低了30%,現(xiàn)在出于IP、PDK和良品率的考量,格芯半導體擱置了量產(chǎn)研發(fā)。也許哪天,石油爸爸一高興,就又可以上馬7納米FinFET。 

目前看來,格芯半導體的FinFET戰(zhàn)略非常明確,基于已驗證的14納米產(chǎn)品,2017年宣布了12納米工藝(12LP),2018年已經(jīng)由14LPP順利過渡至12LP,燧原科技的邃思DTU芯片就是基于12LP工藝生產(chǎn)的,擁有141億個晶體管;2019年推出12LP+。目前12LP/LP+工藝由位于紐約的最先進晶圓廠FAB8負責制造。

近期傳出要給英特爾找格芯半導體尋求14納米FinFET工藝資源,進行處理器芯片代工的消息。這將對格芯帶來一絲好消息。 在此還要提一下,格芯的FDSOI工藝。目前在德國的工廠生產(chǎn)的22FDX和12FDX工藝。有設計公司表示,12FDX的性能(不指晶體管的密度)堪比臺積電的N7。 

 聯(lián)電(UMC)

聯(lián)電的新任管理層在2017年7月表示,聯(lián)電共同總經(jīng)理王石表示,在先進制程戰(zhàn)爭中,聯(lián)電的客戶群縮小,但先進制程每個節(jié)點的演進,其產(chǎn)能投資成本愈來愈高,所以很容易發(fā)生當聯(lián)電趕上最新制程時,這項新制程已過了價格最高的黃金時期,因此聯(lián)電大膽將重點放在成熟制程上。也就意味著聯(lián)電暫時放棄了對10納米和7納米等先進技術(shù)的研發(fā),注重在成熟制程上的獲利。 聯(lián)電成立于1980年,為臺灣第一家半導體公司,1995年轉(zhuǎn)型晶圓代工,2000年產(chǎn)出業(yè)界首批銅制程芯片;2001年開始采用12英寸晶圓;2005年產(chǎn)出業(yè)界第一個65納米制程芯片,2008年采用28納米制程技術(shù)。 2012年聯(lián)電加入了IBM技術(shù)聯(lián)盟,派遣技術(shù)團隊前住IBM晶圓廠,并同步臺灣R&D晶圓廠,共同開發(fā)10納米FinFET工藝,并借此強化公司內(nèi)部14納米FinFET工藝開發(fā),2014年14納米FinFET制程良率達到預期,并在2017年第三季正式量產(chǎn),當年取得5000萬美元的營收,2018年更是取得營收高達1.5億美元的佳績。 時至今日,聯(lián)電也沒有放棄14/12納米FinFET的研發(fā)。王石也表示,投資技術(shù)研發(fā)相對便宜,聯(lián)電在14/12納米FinFET的研發(fā)還會繼續(xù),由于昂貴的是產(chǎn)能建置,14/12納米FinFET納米的產(chǎn)能建置相對就少,未來要不要擴建,一切以財務指標來說話。 

 中芯國際(SMIC)

中芯國際成立于2000年4月,2001年在上海投產(chǎn)首條8英寸廠生產(chǎn)線,2004年在北京投產(chǎn)首條12英寸生產(chǎn)線。目前在北京、上海、天津 、深圳運營多座先進的晶圓制造生產(chǎn)線。

中芯國際本著一步一個腳印,掌握核心技術(shù),技術(shù)延伸一代、研發(fā)一代、成熟一代、產(chǎn)業(yè)化一代的宗旨,國際化企業(yè)的基因加上02重大專項的資金大力支持,中芯國際實現(xiàn)了集成電路技術(shù)上的追趕。2001年中芯國際0.25微米首次流片,2008年的110納米量產(chǎn),2006年90納米量產(chǎn),2010年65納米量產(chǎn),2012年55納米量產(chǎn),2013年40/45納米量產(chǎn)。 2015年中芯國際成為中國大陸第一家提供28納米Poly Sion制程服務的純晶圓代工廠,2016年完成28納米HKMG制程,并成功流片。 中芯國際從28nm直接轉(zhuǎn)入14nm,2017年5月宣布14納米研發(fā)獲得突破;10月16日,梁孟松正式加盟中芯國際。梁孟松在上任后,加強了研發(fā)隊伍的建設,同時調(diào)整更新了14納米FinFET規(guī)劃,將3D FinFET工藝鎖定在高性能運算、低功耗芯片應用。

在研發(fā)進展順利的同時,14納米專用生產(chǎn)線的建設也在快速推進中。2016年10月13日,投資百億美元(675億元)、覆蓋14納米至10/7納米工藝節(jié)點的中芯南方12英寸生產(chǎn)線正式開工;2018年1月,大基金為14納米提供金援,入股中芯南方。 2018年第4季度,第一代14納米FinFET技術(shù)進入客戶驗證階段,同時12納米的工藝開發(fā)也取得突破;2019年第1季度,12納米工藝開發(fā)進入客戶導入階段,第二代FinFET研發(fā)進展順利;2019年第2季度,第一代14納米FinFET進入客戶風險量產(chǎn),第二代FinFET N+1技術(shù)平臺已開始進入客戶導入;2019年第3季度,第一代14納米FinFET已成功量產(chǎn);第二代FinFET N+1客戶導入進展順利。 

華虹集團

華虹集團是國家“909”工程的載體,目前已發(fā)展成為以集成電路制造為主業(yè)、面向全球市場、具有較強自主創(chuàng)新能力和市場競爭力的高科技產(chǎn)業(yè)集團。華虹集團旗下?lián)碛袃纱笾圃炱脚_,一是華虹宏力,自建設中國大陸第一條8英寸集成電路生產(chǎn)線起步,目前在上海運營三條8英寸生產(chǎn)線(華虹一、二及三廠),在無錫運營一條月產(chǎn)能4萬片的12英寸集成電路生產(chǎn)線,工藝技術(shù)覆蓋1微米至55納米各節(jié)點,其嵌入式非易失性存儲器、功率器件、模擬及電源管理和邏輯及射頻等差異化工藝平臺在全球業(yè)界極具競爭力,并擁有多年成功量產(chǎn)汽車電子芯片的經(jīng)驗;二是上海華力,是國家“909”工程升級改造項目承擔主體,目前在上海運營兩條12英寸集成電路生產(chǎn)線,工藝技術(shù)從55納米起步,最終將具備14納米三維工藝的高性能芯片生產(chǎn)能力。

有關(guān)華虹集團的FinFET的情況不多。2020年1月,華虹集團表示,14納米FinFET工藝研發(fā)獲重大進展,工藝全線貫通,SRAM良率超過25%,2020年將快速推進,并表示更先進技術(shù)節(jié)點的先導工藝研發(fā)快速部署。

FinFET工藝產(chǎn)能建置隱憂

目前看來,F(xiàn)inFET的玩家多達七家,如果產(chǎn)能一旦建置完成,會否造成產(chǎn)能過剩。我們先來看看幾個玩家的產(chǎn)能建置情況。 臺積電最早生產(chǎn)FinFET始于2014年,目前FinFET工藝有5個基地,分別是位于臺灣新竹的FAB12、臺灣臺南的FAB14和FAB18、臺灣臺中的FAB15和江蘇南京的FAB16。各工藝節(jié)點的布局是,16/12納米由FAB14和FAB16負責生產(chǎn),合計月產(chǎn)能約15萬片;7納米由FAB15負責生產(chǎn),合計月產(chǎn)能約15萬片;5納米主要在FAB18生產(chǎn),目前FAB18 P1/P2的建置產(chǎn)能達10萬片。

當然FAB12是臺積電的研發(fā)基地,各工藝節(jié)點的產(chǎn)能都可以協(xié)調(diào)。如此算來,臺積電的FinFET月產(chǎn)能總計不下于40萬片12英寸晶圓。 三星目前生產(chǎn)FinFET工藝始于2014年,最早是在位于美國奧斯汀的S2生產(chǎn)14納米FinFET,目前S2負責14/11/10納米生產(chǎn);后來陸續(xù)將投入S1和S3工廠,位于器興的S1負責14/11/10/8納米工藝生產(chǎn);位于華城的S3主要負責10/8納米工藝生產(chǎn);2020年2月,位于華城的EUV專用生產(chǎn)線V1投產(chǎn),制造EUV方案7/6納米產(chǎn)品。另外,在FAB17廠區(qū),也建有部分FinFET產(chǎn)能。如此估算三星的FinFET月產(chǎn)能總計約為25萬片12英寸晶圓。  

格芯半導體的14/12納米FinFET在美國紐約的FAB8生產(chǎn),建置月產(chǎn)能約在2萬至3萬片之間。

而聯(lián)電目前生產(chǎn)FinFET工藝是在FAB12A廠,建置月產(chǎn)能約在1萬至2萬片之間。 

中芯國際的FinFET是在位于上海的中芯南方工廠生產(chǎn),目前有產(chǎn)能約在3000片,根據(jù)規(guī)劃,2020年3月達到4000片,7月達到9,000片,到12月將達到15000片的規(guī)模。 

目前英特爾雖然有4個工廠使用FinFET工藝,但都是為自家的處理器服務,對外的代工數(shù)量非常少,只有一些新初創(chuàng)的設計公司和英特爾進行合作。 如此算下來,到2020年底,不算英特爾在內(nèi)的全球FinFET月產(chǎn)能超過70萬片左右。

要知道這么多產(chǎn)能都是靠錢堆出來的呀!

FinFET工藝的巨額投資

FinFET工藝研發(fā)費用有多高?FinFET工藝的產(chǎn)能建置投資到底有多大?我們聽聽晶圓制造廠的聲音。 FinFET工藝研發(fā)費用有多高?我們來看看臺積電的近年研發(fā)費用。2012年的研發(fā)費用高達338億新臺幣(約合11.2億美元),此后研發(fā)費用一直快速增長,至2019年臺積電的研發(fā)費用為914億新臺幣(約合30億美元),年均增幅12.5%;從2012年至2019年8年間研發(fā)費用合計為5970億新臺幣(約195億美元)。有人說,成熟工藝就不要研發(fā)嗎?當然要研發(fā),但只是很少的一部分,相信絕大部分是用在FinFET工藝研發(fā)。 FinFET工藝的產(chǎn)能建置投資有多大?看看各大公司的說法。

中芯國際聯(lián)席CEO在2019年第四季度的業(yè)績說明會上表示,F(xiàn)inFET的投資非常大,每1000片的投資大約在1.5億(EUV方案)至2.5億美元(非EUV方案)區(qū)間,難怪今年中芯南方工廠投資20億美元,擴充產(chǎn)能只能至15000片。

2020年2月20日,三星宣布EUV專用線V1投產(chǎn),預計到年底,V1生產(chǎn)線的累計總投資將達到60億美元,規(guī)劃月產(chǎn)50000片。

英特爾透露,使用金屬鈷的7納米(非EUV方案)每1000片的投資大約在2.8億美元。

臺積電宣布建設3納米工廠。預計投資6000億新臺幣(約190億美元),月產(chǎn)能未知。

研發(fā)加產(chǎn)能建置的費用絕對是天文數(shù)字,怎么賺回來呢?當然要客戶買單。

FinFET工藝的客戶

FinFET的客戶在哪里?目前用得起FinFET工藝的客戶屈指可數(shù)。 我們看看臺積電的FinFET各節(jié)點工藝的全稱就知道,不是HP(高性能)就是LP(低功耗),這也說明只有追求更高運算效能,還要求更低的運行功耗的特殊芯片,才采用FinFET工藝。 這樣的客戶有哪些呢?智能手機AP芯片公司華為海思、蘋果、三星、高通、聯(lián)發(fā)科;FPGA供應商賽靈思;MPU供應商AMD、英偉達(NVDA.US);人工智能芯片供應商,比如燧原科技、寒武紀、百度(BIDU.US)、Esperanto;以及挖礦芯片供應商嘉楠耘智、比特大陸等,而且其中幾乎都被臺積電鎖定,像海思、蘋果、聯(lián)發(fā)科、AMD、英偉達、寒武紀、嘉楠耘智、比特大陸;就是高通也是在臺積電和三星兩邊一起來。 三星的客戶主要是自家的智能手機AP芯片,外加高通的一部分,也不知道建置大產(chǎn)能干啥用? 中芯國際起碼有國內(nèi)的大市場,華為海思自不必說,還有一大堆人工智能芯片公司,再不濟還有挖礦芯片公司來買單,相信只要中芯國際的FinFET工藝性能穩(wěn)定,產(chǎn)能跟得上,制程能夠一代更比一代強,就一定能穩(wěn)住國內(nèi)客戶。

讓客戶給FinFET去評判吧!

(編輯:郭璇)

智通聲明:本內(nèi)容為作者獨立觀點,不代表智通財經(jīng)立場。未經(jīng)允許不得轉(zhuǎn)載,文中內(nèi)容僅供參考,不作為實際操作建議,交易風險自擔。更多最新最全港美股資訊,請點擊下載智通財經(jīng)App
分享
微信
分享
QQ
分享
微博
收藏